英特爾要改叛變奏,提前進入埃米時代?

尋夢新聞LINE@每日推播熱門推薦文章,趣聞不漏接❤️

加入LINE好友

英特爾要改叛變奏,提前進入埃米時代? 科技 第1張

英特爾公司CEO帕特·基辛格在以「英特爾加速創新」為主題的全球線上發布會中表示:「基於英特爾在先進封裝領域毋庸置疑的領先性,我們正在加快制程工藝創新的路線圖,以確保到 2025 年制程性能再度領先業界。英特爾正利用我們無可比擬的持續創新的動力,實現從晶體管到系統層面的全面技術進步。在窮盡元素周期表之前,我們將鍥而不舍地追尋摩爾定律的腳步,並持續利用矽的神奇力量不斷推進創新。」

英特爾公司2021 年 7 月 27日在美國加州聖克拉拉召開了「英特爾加速創新:制程工藝和封裝技術線上發布會」。對於藍色巨人而言,這場發布會的意義非凡,會議涉及的資訊量巨大。它公布了最新的制程工藝和封裝技術創新路線圖,涉及了2025年以後的埃米時代產品路線;並展示了兩項突破性制程技術——近十多年來推出的首個全新晶體管架構RibbonFET,以及業界首個背面電能傳輸網路PowerVia;另外公布了兩項3D 封裝上的技術Foveros Omni 和 Foveros Direct;甚至英特爾在代工服務(IFS)上也取得了階段性的結果,首次公布合作客戶名單中擁有高通、亞馬遜AWS等一大批重量級的名字。

新線路+新工藝,英特爾在埃米時代大門前將加速?

英特爾要改叛變奏,提前進入埃米時代? 科技 第2張

在英特爾公布的最新制程技術路線圖上,我們可以看到每個節點的創新技術以及新節點命名的詳細資訊,特別是在10nm之後,英特爾忽略了進程的命名,而採用了英特爾創造的命名方式:

英特爾要改叛變奏,提前進入埃米時代? 科技 第3張

英特爾要改叛變奏,提前進入埃米時代? 科技 第4張

盡管英特爾在同制程下的表現都優於對手,比如10nm晶體管指標已經超過了臺積電7nm的水平,但對於市場而言,似乎受制程的影響更大。所以這次英特爾跳開了以制程命名的方式,比如Tiger Lkae(10nm Superfin)的下一代10nm Enhanced SuperFin這次就改變為Intel 7,之後英特爾7nm則改變Intel 4,細究英特爾命名上的深意,不難得出,Intel 7 ≥ 7nm,英特爾4 ≥ 4nm的推測,盡管不會明面上這麼宣布,而會體現在每一代產品的參數之上。

另外我們還留意到,英特爾介紹產品性能的首要指標變為了「每瓦性能」,其實英特爾前幾代產品也在強調這個參數,這次只是再次指標之下英特爾在能耗比上的優勢。在產品線路圖上,Intel 7與 Intel 10nm SuperFin 相比,每瓦性能將提升約10%-15%;Intel 4則將擁有前代每瓦性能約 20% 的提升以及晶片面積的改進;Intel 3則較之Intel 4將在每瓦性能上實現約18%的提升……

還有一點,英特爾將在Intel 7上開始引入EUV,並在Intel 4之上完全採用EUV光刻技術,這也是帶來Intel 4晶片面積縮小的主要原因。在新產品線路圖上,英特爾一改對EUV技術成熟度不信任的態度,全力轉向EUV,也跟之前在10nm、7nm上良品率遲遲得不到解決有很大關係。由此我們也能看出,英特爾新CEO上任後,藍色巨人更加務實,在這兩年晶片重要轉折的年份上希望占據住更多實地的意圖。

Intel 7、Intel 4和Intel 3將分別在2021年、2022 年下半年、2023年下半年投產,我們可以看到英特爾一年一個堅定步伐的節奏,這同樣也是堅持摩爾定律的一次表態。而這個路線圖的最大的亮點則在於Intel 3之後的Intel 20A,這是英特爾在產品方向上的一次重大變革。

Intel 20A在工藝上徹底放棄了FinFET,轉向了GAA晶體管,為了讓新晶片在埃米時代落地,英特爾公布了兩項兩大革命性技術——RibbonFET和PowerVia。RibbonFET是自2011年率先推出FinFET以來,英特爾構建Gate All Around晶體管的重要技術,它加快了晶體管開關速度,同時實現與多鰭結構相同的驅動電流,而且占用空間更小。PowerVia 是英特爾獨有的、業界首個背面電能傳輸網路,通過清除晶圓正面供電布線需求來優化信號傳輸。

在2024年推出Intel 20A之後,下一代的技術節點將命名為Intel 18A,第二代GGA晶體管將進一步改進RibbonFET技術,預計2025年之後推出。

借助IDM 2.0,英特爾是否能重回全球前三的代工廠商

英特爾要改叛變奏,提前進入埃米時代? 科技 第5張

英特爾高級副總裁兼技術開發總經理Ann Kelleher博士表示:「英特爾有著悠久的制程工藝基礎性創新的歷史,這些創新均驅動了行業的飛躍。我們引領了從90奈米應變矽向45奈米高K金屬柵極的過渡,並在22奈米時率先引入FinFET。憑借RibbonFET 和 PowerVia兩大開創性技術,Intel 20A 將成為制程技術的另一個分水嶺。」

在英特爾發布產品路線圖之中,公布了一個資訊量相當高的內容——高通將採用Intel 20A的產品路線!不難看出,如今市場上排名首位的Fabless廠商還是相當認同英特爾技術路線上的選擇和技術底蘊。

一方面,三星順利流片後,高通對於GAA晶體管路線有著更強的信心,另一方面,在英特爾公面代工IDM2.0計劃後,英特爾的技術能力和代工能力均能給高通提供較為穩定的基礎。

我們可以看到,英特爾在封裝技術一直處於領先地位,這也是選擇英特爾代工廠商的最大底氣,近年來英特爾在這方面的優勢包含:首個2.5D 嵌入式橋接解決方案EMIB、首個 3D 堆疊解決方案Foveros、下一代Foveros技術Foveros Omni和實現了向直接銅對銅鍵合的轉變Foveros Direct。

如果要一一了解英特爾這一技術優勢,我們需要另開一篇卻詳細解讀,不過需要注意的是Foveros Omni和Foveros Direct將在應用在2023年量產的產品中去,從產品路線圖來看,也就是Intel 3。這意味著Intel 3才是英特爾在奈米時代的巔峰產品,也是英特爾恢復競爭優勢的最重要技術節點。

高通之外,英特爾還公布了另一個代工合作廠商亞馬遜AWS,它將比高通更早,率先成為英特爾的第一個使用英特爾代工服務(IFS)封裝解決方案的客戶。

能否按產品線路的計劃獲得High-NA EUV光刻機?

封裝技術之外,英特爾公布的另一項資訊則給予了Fabless廠商更多信心——英特爾將迅速採用下一代極紫外光刻(EUV)技術的計劃,即高數值孔徑(High-NA)EUV。甚至在與ASML的合作中,英特爾有望率先獲得業界第一臺High-NA EUV光刻機。

從質疑EUV到可能率先採用High-NA EUV光刻機,英特爾態度的改變源自於加速埃米時代落地的目標,這將是英特爾重回巔峰地位的大計劃。

我們都知道,3nm工藝結點之後,ASML研發的是新型高數值孔徑EUV光刻設備(high NA EUV),它將EUV系統NXE 3400C具有0.33的數值孔徑推進至0.55,這也是ASML下一代的NXE5000系列機型。雖然ASM宣布的問世時間為2023年,但實現上業界對它的上市的時間並不樂觀,而且在光阻劑、EUV源功率、0.55NA較小的聚焦深度(depth of focus)、透鏡的偏振控制、掩膜的制備及設備的成本控制上都有著不小的技術門檻需要邁過。所以是否能趕上2024年的Intel 20A技術節點其實還存在很大不確定性。

當然,值得肯定的是,英特爾在與ASML的合作中取得了相當大的主動性,不然也不會宣傳首臺High-NA EUV光刻機落戶英特爾的巨大利好。

寫在最後

今天的發布會,讓我們看到一個不那麼慢條斯理的藍色巨人。不管是產品路線還是新技術曝光,英特爾都迫不急待地向眾人公布,而且在High-NA EUV光刻機使用上,卡死了ASML的供貨門檻。

實際上從下一代光刻機的計劃供貨時間2023年,到英特爾量產Intel 20A的2024年間,僅有一年時間來調試設備和流片,不可謂不緊張,所以我們在今天的文章和段落標題中,都用了問號。當然,不管能不能實現,都代表了英特爾的一代態度——必須樹立重回巔峰的目標。

在我看來,英特爾最有可能實現的是代工上的意圖,在全球缺芯的大趨勢下,是最能為英特爾貢獻現金流的項目,也只有代工上獲得了更多Fabless用戶的認同,才能在產品路線圖實現節奏上走得更快更穩。

About 尋夢園
尋夢園是台灣最大的聊天室及交友社群網站。 致力於發展能夠讓會員們彼此互動、盡情分享自我的平台。 擁有數百間不同的聊天室 ,讓您隨時隨地都能找到志同道合的好友!